uvm控制选项

下面介绍一些常用UVM控制选项

+define+UVM_REPORT_DISABLE_FILE_LINE
去掉打印信息中文件路径。
原理是源码macro/uvm_message_define.svh中控制了uvm_file宏是否为文件路径,而打印时用的是这个宏。
扩展:如果想把层次路径也去掉,只能重载base/uvm_report_server.svh中compose_message函数,将Name不加入返回值中。

+UVM_CONFIG_DB_TRACE
使能config_db信息打印

+UVM_PHASE_TRACE
使能phase信息打印

+UVM_OBJECTION_TRACE
使能objection信息打印

+UVM_RESOURCE_DB_TRACE
使能resource_db信息打印

+UVM_TESTNAME
指定testcase name

+UVM_VERBOSITY
指定打印等级

+UVM_TIMEOUT
设置超时时间,默认为UVM_DEFAULT_TIMEOUT 9200s

+UVM_DUMP_CMDLINE_ARGS
将cmdline的参数打印出来